Welcome![Sign In][Sign Up]
Location:
Search - wave dds

Search list

[VHDL-FPGA-Verilogdds正弦发生器代码

Description: 讲述了dds直接数字频率合成的基本原理,同时用VHDL语言编写dds原代码用于生成正弦波,并在ISE开发平台进行仿真和MATLAB验证正弦波输出结果-described dds direct digital frequency synthesis of the basic tenets addition to the use of VHDL prepared dds source used to produce sine, and ISE development platform for simulation and verification MATLAB sine wave output
Platform: | Size: 491520 | Author: czy | Hits:

[SCMdds

Description: 51单片机控制AD9851产生20HZ-1MHZ的正弦波,并且实现了按不同步进频率扫屏-51 single-chip AD9851 produced control 20HZ-1MHZ the sine wave, and realize a different step frequency sweep screen
Platform: | Size: 2048 | Author: 徐冬 | Hits:

[SCMFPGA--DDS-PhaseMeasure

Description: Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module can generate both frequency and phase difference can be preset to adjust the value of sine wave, frequency range of 20Hz-5MHz, phase range of 0 °-359 ° , measurement data and transmit them to the single-chip pin, single-chip microcomputer to calculate and display.
Platform: | Size: 1371136 | Author: haoren | Hits:

[ARM-PowerPC-ColdFire-MIPSdds

Description: 此为基于ARM7编写的,采用周立功LPC2131系列ARM编写,用的是C语言,实现的是DDS AD9850 正弦波产生,晶振(参考频率)为PWM6产生,外围电路参照有关电路,不管何总都一样.-This is prepared based on the ARM7, the LPC2131 series ARM Ligong weeks to prepare, using the C language, realize that the DDS AD9850 sine wave generation, crystal (reference frequency) to produce PWM6 external circuit with reference to the relevant circuit, regardless of the total HE are the same.
Platform: | Size: 161792 | Author: shijiqian | Hits:

[SCMad9833

Description: 基于51单片机的DDS正弦波发生程序,DDS芯片为AD98-Based on 51 Singlechip DDS programmed sine wave, DDS chips for AD98
Platform: | Size: 8192 | Author: kmcm | Hits:

[SCMDDS-128-modu

Description: DDS-320-func: 在采用 320x240 屏的设计实验箱上运行,产生正弦,三角,方波三种函数波形,可扫频-DDS-320-func: using 320x240 screen design experiments to run me generate sine, triangle, square wave function of three waveforms can be swept
Platform: | Size: 1270784 | Author: hangyinli | Hits:

[VHDL-FPGA-VerilogDDS-320-modu

Description: 在采用 320x240 屏的设计实验箱上运行,产生正弦波,调幅调频波形,扫频。-320x240 screen using the design of experiments to run me generate sine wave, AM FM waveforms, sweep.
Platform: | Size: 1250304 | Author: hangyinli | Hits:

[VHDL-FPGA-Verilogdds

Description: 利用fpga实现的DDS,可输出正弦波,输出频率可调-FPGA realization of the use of DDS, sine wave output, output frequency adjustable
Platform: | Size: 468992 | Author: qlg | Hits:

[SCMDDS

Description: 用8051控制DDS信号发生器,产生1HZ-10MHz的正弦波/三角波/方波-DDS with 8051 control signal generator, producing the 1HZ-10MHz sine/triangle/square wave
Platform: | Size: 43008 | Author: 徐小平 | Hits:

[VHDL-FPGA-Verilogdds

Description: 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
Platform: | Size: 278528 | Author: 吴健 | Hits:

[OtherDDS

Description: 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
Platform: | Size: 1682432 | Author: | Hits:

[Software EngineeringDDS-baseddesignofthesinusoidalsignalgenerator

Description: 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the single-chip design, supplemented by the necessary analog circuits, based on the realization of a direct digital frequency synthesis (DDS) generator of sinusoidal No. Friends. The design of DDS chip AD9850 produced using 1KHZ ~ 10MHZ frequency range of sine wave, the AD811 control amplifier output voltage range of from single-chip AT89S52-conditioning step frequency control 1HZ. On this basis, the use of analog multiplier MC1496 has sinusoidal frequency modulation signal 1KHZ degree analog phase modulated signal generated by FPGA chip NRZ binary code, combined with the AD9850 to achieve phase shift keying PSK, ASK ASK, frequency Shift key town of FSK.
Platform: | Size: 208896 | Author: 何蓓 | Hits:

[Software EngineeringDDS

Description: 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。完成了软件和硬件的设计,以及实验样机的部分调试。 -The design is based on a digital frequency synthesis technology, to achieve wave synthesis by sine wave look-up tables. Direct Digital Synthesis Technology (DDS) is an advanced circuit structure, the output signal frequency is controlled precisely and rapidly in all-digital process, DDS technology has been applied in output signal frequency increment. DDS signals generated own high frequency resolution, frequency switching speed and continuous phase when frequency switching, low-output phase noise and can generate arbitrary waveform, and so on. Basic principles of the DDS is introduced in the paper, frequency form and stray inhabitation of the DDS is analyzed. Procedures designed with high-speed hardware description language VHDL describe DDS, and design a sine wave, triangle wave, square-wave signal generator by it.The hardware and software has been designed, prototype and circuit has been tested partly.
Platform: | Size: 312320 | Author: | Hits:

[Otherdds

Description: DDS波形发生器,可产生三角波、方波、三角波源程序。-DDS waveform generator can produce the triangular wave, square wave, triangle wave source.
Platform: | Size: 2048 | Author: 史彧 | Hits:

[SCMDDS

Description: 采用AD9851设计的一个产生50M 方波,正弦波,锯齿波,包括资源:C源程序代码,电路原理图,PCB, 绝好的项目开发资料。-AD9851 design using a square wave generated 50M, sine wave, sawtooth, including resources: C source code, circuit schematics, PCB, excellent information on the project development.
Platform: | Size: 62464 | Author: 涂龙 | Hits:

[VHDL-FPGA-VerilogDDS-top

Description: 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。-Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
Platform: | Size: 299008 | Author: evil | Hits:

[Technology Managementdds

Description: 数字合成函数/任意波信号发生器/计数器的使用说明书-Digital synthesis function/arbitrary wave signal generator/counter the use of manual
Platform: | Size: 505856 | Author: | Hits:

[VHDL-FPGA-Verilogdds

Description: fpga利用dds原理,产生正弦波,简单实用,成本低-fpga using dds principle, have a sine wave
Platform: | Size: 571392 | Author: wangjian | Hits:

[Graph Drawingdds(heli)

Description: DDS用verilog 实现,可以实现方波、正弦和三角-DDS using verilog realized, can be square wave, sinusoidal and triangular
Platform: | Size: 428032 | Author: qian | Hits:

[VHDL-FPGA-VerilogDDS

Description: 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wave, step adjustable. Frequency range 1HZ- 10MHZ
Platform: | Size: 117760 | Author: tiancheng | Hits:
« 12 3 4 5 6 7 8 9 10 ... 19 »

CodeBus www.codebus.net